Journal of Engineering and Applied Sciences

Year: 2017
Volume: 12
Issue: 5 SI
Page No. 7112 - 7118

A Distributed Majority-Operator-Based Built-In Mutual Inter-Node Test Method for Mesh-Connected VLSI Multiprocessors

Authors : Jamil Al-Azzeh, Evgeny A. Titenko and Igor V. Zotov

References

Aguilera, M.K., W. Chen and S. Toueg, 2000. Failure detection and consensus in the crash-recovery model. Distrib. Comput., 13: 99-125.
CrossRef  |  Direct Link  |  

Al-Azzeh, J.S., M.E. Leonov, D.E. Skopin, E.A. Titenko and I.V. Zotov, 2015. The organization of built-in hardware-level mutual self-test in mesh-connected VLSI multiprocessors. Intl. J. Inf. Technol., 3: 29-33.
Direct Link  |  

Anonymous, 2015. TILE-Gx72 multicore processor: 72-core processor for intelligent networking and video processing. Tilera, San Jose, California, USA.

Anonymous, 2015. Vega 3 processor. Azul Systems, Inc, Mountain View, California, USA.

Bernardi, P., L.M. Ciganda, E. Sanchez and M.S. Reorda, 2014. MIHST: A hardware technique for embedded microprocessor functional on-line self-test. IEEE. Trans. Comput., 63: 2760-2771.
CrossRef  |  Direct Link  |  

Ciciani, B., 1998. Manufacturing Yield Evaluation of VLSI-WSI Systems. IEEE Computer Society Press, Los Alamitos, California, USA.,.

Fukushi, M. and S. Horiguchi, 2004. Reconfiguration algorithm for degradable processor arrays based on row and column rerouting. Proceedings of the 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’04), October 10-13, 2004, IEEE, Cannes, France, pp: 496-504.

Jafri, S.M., S.J. Piestrak, O. Sentieys and S. Pillement, 2014. Design of the coarse-grained reconfigurable architecture DART with on-line error detection. Microprocess. Microsyst., 38: 124-136.
CrossRef  |  Direct Link  |  

Jigang, W. and T. Srikanthan, 2003. An improved reconfiguration algorithm for degradable VLSI/WSI arrays. J. Syst. Archit., 49: 23-31.
CrossRef  |  

Kolonis, E., M. Nicolaidis, D. Gizopoulos, M. Psarakis and J.H. Collet et al., 2009. Enhanced self-configurability and yield in multicore grids. Proceedings of the 15th IEEE International Symposium on On-Line Testing (IOLTS’09), June 24-26, 2009, IEEE, Sesimbra, Lisbon, Portugal, ISBN:978-1-4244-4596-7, pp: 75-80.

Krstic, A., W.C. Lai, K.T. Cheng, L. Chen and S. Dey, 2002. Embedded software-based self-test for programmable core-based designs. IEEE. Des. Test Comput., 19: 18-27.
CrossRef  |  Direct Link  |  

Lin, S.Y., W.C. Shen, C.C. Hsu, C.H. Chao and A.Y. Wu, 2009. Fault-tolerant router with built-in self-test-self-diagnosis and fault-isolation circuits for 2D-mesh based chip multiprocessor systems. Proceedings of the International Symposium on VLSI Design, Automation and Test (VLSI-DAT'09), April 28-30, 2009, IEEE, Hsinchu, Taiwan, ISBN:978-1-4244-2781-9, pp: 72-75.

Nicolaidis, M. and L. Anghel, 1999. Concurrent checking for VLSI. Microelectron. Eng., 49: 139-156.
Direct Link  |  

Psarakis, M., D. Gizopoulos, E. Sanchez and M.S. Reorda, 2010. Microprocessor software-based self-testing. IEEE. Des. Test Comput., 27: 4-19.
CrossRef  |  Direct Link  |  

Raik, J. and V. Govind, 2012. Low-area boundary BIST architecture for mesh-like network-on-chip. Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS’12), April 18-20, 2012, IEEE, Tallinn, Estonia, ISBN:978-1-4673-1187-8, pp: 95-100.

Rajski, J., J. Tyszer, M. Kassab and N. Mukherjee, 2004. Embedded deterministic test. IEEE. Trans. Comput. Aided Des. Integr. Circ. Syst., 23: 776-792.
CrossRef  |  Direct Link  |  

Roychowdhury, V.P., J. Bruck and T. Kailath, 1990. Efficient algorithms for reconfiguration in VLSI/WSI arrays. IEEE. Trans. Comput., 39: 480-489.
CrossRef  |  Direct Link  |  

Stroud, C., J. Sunwoo, S. Garimella and J. Harris, 2004. Built-in self-test for system-on-chip: A case study. Proceedings of the International Conference on Test (ITC’04), October 26-28, 2004, IEEE, Charlotte, North Carolina, USA., pp: 837-846.

Takanami, I., 2001. Built-in self-reconfiguring systems for fault tolerant mesh-connected processor arrays by direct spare replacement. Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, October 24-26, 2001, IEEE, San Francisco, California, USA., pp: 134-142.

Vangal, S.R., J. Howard, G. Ruhl, S. Dighe and H. Wilson et al., 2008. An 80-tile sub-100-w teraflops processor in 65-nm cmos. Solid State Circuits IEEE. J., 43: 29-41.
CrossRef  |  Direct Link  |  

Zhang, Z., D. Refauvelet, A. Greiner, M. Benabdenbi and F. Pecheux, 2014. On-the-field test and configuration infrastructure for 2-D-mesh NoCs in shared-memory many-core architectures. IEEE. Trans. Very Large Scale Integr. Syst., 22: 1364-1376.
CrossRef  |  Direct Link  |  

Design and power by Medwell Web Development Team. © Medwell Publishing 2024 All Rights Reserved