Asian Journal of Information Technology

Year: 2016
Volume: 15
Issue: 11
Page No. 1686 - 1690

Design of an Error Tolerance Flip-Flop for Pipeline Architecture for SOC

Authors : K. Raja and S. Saravanan

References

Agarwal, M., B.C. Paul, M. Zhang and S. Mitra, 2007. Circuit failure prediction and its application to transistor aging. Proceedings of the 25th IEEE VLSI Test Symposium, May 6-10, 2007, Berkeley, CA., pp: 277-286.

Choudhury, M., V. Chandra, K. Mohanram and R. Aitken, 2010. TIMBER: Time borrowing and error relaying for online timing error resilience. Proceedings of the Conference on Design, Automation and Test in Europe, March 8-12, 2010, Dresden, Germany, pp: 1554-1559.

Floros, A., Y. Tsiatouhas and X. Kavousianos, 2008. The time dilation scan architecture for timing error detection and correction. Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, October 13-15, 2008, Rhodes Island, Greece, pp: 569-574.

Makris, Y., I. Bayraktaroglu and A. Orailoglu, 2004. Enhancing reliability of RTL controller-datapath circuits via invariant-based concurrent test. IEEE Trans. Reliab., 53: 269-278.
CrossRef  |  Direct Link  |  

Matakias, S., Y. Tsiatouhas, A. Arapoyanni and T. Haniotakis, 2004. A circuit for concurrent detection of soft and timing errors in digital CMOS ICs. J. Elect. Test., 20: 523-531.
CrossRef  |  Direct Link  |  

McPherson, J.W., 2006. Reliability challenges for 45 nm and beyond. Proceedings of the 43rd Annual Design Automation Conference, July 24-28, 2006, San Francisco, CA., USA., pp: 176-181.

Mitra, S., N. Seifert, M. Zhang, Q. Shi and K.S. Kim, 2005. Robust system design with built-in soft-error resilience. Computer, 38: 43-52.
CrossRef  |  

Nicolaidis, M., 1999. Time redundancy based soft-error tolerance to rescue nanometer technologies. Proceedings. 17th IEEE VLSI Test Symposium, April 25-29, 1999, Dana Point, CA., pp: 86-94.

Nicolaidis, M., 2007. Graal: A new fault tolerant design paradigm for mitigating the flaws of deep nanometric technologies. Proceedings of the IEEE International Test Conference, October 21-26, 2007, Santa Clara, CA., pp: 1-10.

Samanta, R., G. Venkataraman, N. Shah and J. Hu, 2008. Elastic timing scheme for energy-efficient and robust performance. Proceedings of the 9th International Symposium on Quality Electronic Design, March 17-19, 2008, San Jose, CA., pp: 537-542.

Valadimas, S., A. Floros, Y. Tsiatouhas, A. Arapoyanni and X. Kavousianos, 2014. The time dilation technique for timing error tolerance. IEEE Trans. Comput., 63: 1277-1286.
CrossRef  |  Direct Link  |  

Valadimas, S., Y. Tsiatouhas and A. Arapoyanni, 2010. Timing error tolerance in nanometer ICs. Proceedings of the IEEE 16th International On-Line Testing Symposium, July 5-7, 2010, Corfu, pp: 283-288.

Valadimas, S., Y. Tsiatouhas and A. Arapoyanni, 2012. Cost and power efficient timing error tolerance in flip-flop based microprocessor cores. Proceedings of the 17th IEEE European Test Symposium, May 28-31, 2012, Annecy, pp: 1-6.

Valadimas, S., Y. Tsiatouhas and A. Arapoyanni, 2015. Timing error tolerance in small core designs for SoC applications. IEEE Trans. Comput., 65: 654-663.
CrossRef  |  Direct Link  |  

Yu, H., M. Nicolaidis, L. Anghel and N.E. Zergainoh, 2011. Efficient fault detection architecture design of latch-based low power DSP/MCU processor. Proceedings of the 16th IEEE European Test Symposium, May 23-27, 2011, Trondheim, pp: 93-98.

Design and power by Medwell Web Development Team. © Medwell Publishing 2024 All Rights Reserved